CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 频率计

搜索资源列表

  1. 8bit.详细的八位十六进制频率计课程报告

    0下载:
  2. 详细的八位十六进制频率计课程报告,是我的eda课程设计报告书,Detailed eight hexadecimal Cymometer curriculum report is my report on the curriculum design EDA
  3. 所属分类:软件工程

    • 发布日期:2017-03-23
    • 文件大小:77756
    • 提供者:林晓彪
  1. pinluji.rar

    0下载:
  2. 四位十进制频率计设计 包含测频控制器(TESTCTL),4位锁存器(REG4B),十进制计数器(CNT10)的原程序(vhd),波形文件(wmf ),包装后的元件(bsf)。顶层原理图文件(Block1.bdf)和波形。 ,Four decimal frequency meter measuring frequency controller design includes (TESTCTL), 4 bit latch (REG4B), decimal counter (CNT10) of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:11246
    • 提供者:深空
  1. 基于FPGA的自适应数字频率计

    0下载:
  2. 基于FPGA的自适应数字频率计,测量范围1Hz-99.9MHz,FPGA-based adaptive digital frequency meter, measuring range 1Hz-99.9MHz
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:3846
    • 提供者:王二
  1. eda

    0下载:
  2. 基于EDA技术设计4位十进制数字频率计的系统方案-Based on EDA technology design four decimal system solutions Cymometer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:107152
    • 提供者:小草
  1. freq

    1下载:
  2. 智能频率计 1. 频率测量范围为1Hz~1MHz 2. 当频率在1KHz以下时采用测周方法 其它情     况采用测频方法.二者之间自动转换 3. 测量结果显示在数码管上,单位可以是Hz(H)、    KHz(AH)或MHz(BH)。 4. 测量过程不显示数据,待测量结果结束后,直接显示结果。 -Intelligent frequency meter 1. Frequency measurement range of 1Hz ~ 1MHz 2. When th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-09
    • 文件大小:238680
    • 提供者:谭超
  1. 4weishuzipinlvjikongzhimokuai

    0下载:
  2. Verilog HDL下的4 位数字频率计控制模块源代码-Verilog HDL under four digital frequency meter control module source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2896
    • 提供者:李少洋
  1. fangzhen

    0下载:
  2. vhdl代码: 采用等精度测频原理的频率计程序与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: Using the principle of frequency measurement accuracy, such as the frequency of procedures and simulation! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:123528
    • 提供者:daxiadian2
  1. 745221frequency

    0下载:
  2. 用Verilog HDL / VHDL实现的数字频率计(完整实验报告)-Using Verilog HDL/VHDL realization of digital frequency meter (complete test report)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:144904
    • 提供者:倪亮
  1. plj

    0下载:
  2. 基于VHDL的简易数字频率计,具体功能不清楚请大家验证! -Simple VHDL-based digital frequency meter, the specific function is not clear please verify!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1698
    • 提供者:Lee
  1. freq

    0下载:
  2. vhdl语言设计频率计,十进制加法器.运用maxplus2运行,-VHDL language design frequency, the decimal adder. maxplus2 application running,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:95090
    • 提供者:lucy
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. reportform

    0下载:
  2. 数字频率计实验报告,帮助你写一个完美的报告,格式很规范,正品报告模板-it is nice report modole
  3. 所属分类:Communication

    • 发布日期:2017-04-25
    • 文件大小:235646
    • 提供者:Alan
  1. edaplj

    0下载:
  2. 这个是我做的频率计,代码都是正确的,大家共享一下了。-This is the frequency of me to do, the code is correct, we share about the whole thing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3624
    • 提供者:陈岩
  1. cepin

    0下载:
  2. 本频率计具有测周、测频、测量占空比等基本功能,能自动换档-The frequency meter has a measurement weeks, measuring frequency, measuring the basic functions of duty cycle, etc., can automatic transmission
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:690555
    • 提供者:唐光敏
  1. zidonghuandang

    0下载:
  2. 自动转换量程频率计控制器,能够在程序的驱动下自动进行量程的转换-Automatically converted to the frequency range of controller, the procedure can automatically driven by the conversion range
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:175339
    • 提供者:唐光敏
  1. plj

    0下载:
  2. 数字频率计是一种用来测试周期性变化信号工作频率的装置。其原理是在规定的单位时间(闸门时间)内,记录输入的脉冲的个数。我们可以通过改变记录脉冲的闸门时间来切换测频量程。本文利用EDA技术中的Max+plusⅡ作为开发工具,设计了基于FPGA的8位十进制频率计,并下载到在系统可编程实验板的EPF10K20TC144-4器件中测试实现了其功能。-Digital frequency meter is a kind of cyclical changes in the signal used to tes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:591499
    • 提供者:庄青青
  1. last

    0下载:
  2. 本程序完整的实现了数字频率计的常用功能。并对通常数字频率计的常见问题进行了改进。具有实用价值。-Complete the implementation process of the digital frequency of commonly used functions.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-25
    • 文件大小:430816
    • 提供者:wwwknight
  1. Frecounte1r

    1下载:
  2. 本文描述的是数字式频率计的设计过程,其中包含了所用到的VHDl语言的源代码,和仿真图型,是比较完整描述的设计数字频率计的文章-This article describes the digital frequency of the design process, which includes the use of language VHDl source code, graphics and simulation, which is a more complete descr iption of
  3. 所属分类:Document

    • 发布日期:2017-05-09
    • 文件大小:1855489
    • 提供者:谢斌斌
  1. DDS

    0下载:
  2. VHDL经典设计 十进制 VHDL 频率计-VHDL classic design metric VHDL frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:277768
    • 提供者:刘思行
  1. 频率计数码管显示_QII视频讲解

    0下载:
  2. 频率计数码管显示_QII视频讲解 用VHDL语言写的频率计(Frequency meter, digital display, _QII video explanation, written in VHDL language frequency meter)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:3401728
    • 提供者:一战神一
« 12 3 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com